Índice:

$ 2 Arduino. o ATMEGA328 como um autônomo. Fácil, barato e muito pequeno. um guia completo: 6 etapas (com fotos)
$ 2 Arduino. o ATMEGA328 como um autônomo. Fácil, barato e muito pequeno. um guia completo: 6 etapas (com fotos)

Vídeo: $ 2 Arduino. o ATMEGA328 como um autônomo. Fácil, barato e muito pequeno. um guia completo: 6 etapas (com fotos)

Vídeo: $ 2 Arduino. o ATMEGA328 como um autônomo. Fácil, barato e muito pequeno. um guia completo: 6 etapas (com fotos)
Vídeo: #2 - Aula Ao Vivo - Curso de Arduino - Eletrônica Fácil 2024, Julho
Anonim
Image
Image
Lista de Peças
Lista de Peças

Neste instrutível, você aprenderá a usar o chip microcontrolador Arduino ATMEGA328 como um microcontrolador autônomo.

Eles custam apenas 2 dólares, podem fazer o mesmo que seu Arduino e tornar seus projetos extremamente pequenos.

Abordaremos o layout dos pinos, como prepará-lo para o software Arduino gravando um bootloader e como fazer upload de esboços.

Assista ao restante deste manual para descobrir como você pode tornar seus projetos do Arduino menores e mais baratos rapidamente.

Etapa 1: Lista de peças

1 Arduino

1 chip ATMEGA328P-PU. Eu tenho o meu aqui:

Tábua de pão

Fios

Opcional: LED e resistor de 330 ohms para teste

Etapa 2: Baixe e instale a biblioteca

Baixe e instale a biblioteca
Baixe e instale a biblioteca
Baixe e instale a biblioteca
Baixe e instale a biblioteca

Uma placa Arduino vem de fábrica com um oscilador externo de 16 MHz.

Nós realmente não precisamos desse oscilador de 16 MHz, pois o ATMEGA328P-PU tem um oscilador de 8 MHz integrado.

Para fazer este chip funcionar como um microcontrolador autônomo a 8 MHz, temos que baixar e instalar uma biblioteca para nosso ambiente Arduino.

Para fazer isso, clique no link que corresponde à sua versão do Arduino para baixar o arquivo zip.

Será eater 1-6-x.zip, 1-5-x.zip ou 1-0-x.zip

Burn Bootloader
Burn Bootloader

Em seguida, temos que encontrar a pasta do caderno de desenho do Arduino clicando em Arquivo → preferências → “Localização do caderno de desenho”. No meu caso “C: / Users / tomtomheylen / Documents / Arduino” isso pode ser diferente no seu caso.

Copie o local e vá até “este pc”, cole na barra e pressione enter.

Se você vir uma pasta chamada “hardware”, abra-a.

Caso contrário, crie uma nova pasta chamada “hardware” clicando com o botão direito e selecione “nova → pasta” e digite “hardware”. Agora abra.

Mova a pasta breadboard do arquivo zip para a pasta “hardware”.

Burn Bootloader
Burn Bootloader

Reinicie seu IDE Arduino e vá para “Ferramentas → placa”.

Se tudo estiver OK, você deverá ver na lista “Atmega 328 em uma placa de ensaio (clock interno de 8 MHz)”.

A parte mais difícil está feita agora, então vamos nos divertir aproveitando a vida naquele ATMEGA328.

Etapa 3: Gravar Bootloader

Esses chips do microcontrolador ATMEGA328 geralmente vêm vazios. Para fazê-los funcionar com o IDE do Arduino, temos que fazer algo chamado “queimar um bootloader”. É um pequeno código que gravamos no chip para que ele entenda o software Arduino.

Carregando esboços
Carregando esboços

Para fazer isso, conecte seu Arduino ao computador e vá em “Arquivo → exemplos → ArduinoIsp” e selecione “Arduino Isp”. Faça upload deste esboço para o seu Arduino e desconecte-se do computador.

Carregando esboços
Carregando esboços

Em seguida, conectamos o Arduino ao ATMEGA328 como você pode ver na imagem.

Observe o semicírculo no chip. Certifique-se de que está do lado correto.

Agora conecte seu Arduino e no IDE do Arduino vá em “tools → Programmer” e selecione “Arduino as ISP”.

Em seguida, vá para “Ferramentas → Placa” e selecione “Atmega 328 em uma placa de ensaio (relógio interno de 8 MHz)”.

Agora vá para ferramentas e selecione “Burn Bootloader”.

Seu bootloader está queimado e seu chip está pronto para fazer upload de esboços!

Caso haja uma mensagem de erro, desconecte o Arduino e repita as etapas anteriores.

Etapa 4: Upload de esboços

Para fazer upload de um esboço, você deve remover o chip ATMEGA328 da placa Arduino e conectar à placa de ensaio, conforme mostrado na imagem.

Você também pode usar um USB para programador serial como o FT232RL para fazer isso. Eu fiz um mini instrutível sobre isso aqui:

Eu conectei um led com resistor na placa para testar o esboço de piscar.

Veja como usar essa imagem para o layout do pino.

Portanto, por exemplo, se você inicializar o pino 13 no IDE, ele representa o pino 13 na placa Arduino ou o pino 19 no chip ATMEGA328.

Parabéns, você conseguiu! Agora você pode começar a soldar seus próprios projetos Arduino minimizados por quase nada.

Etapa 5: algumas dicas úteis

Algumas dicas úteis
Algumas dicas úteis

Vou terminar este instrutível dando-lhe mais algumas dicas úteis:

Se você soldar um projeto, precisará usar um soquete DIP de 28 pinos e adicionar o ATMEGA328 após a soldagem do projeto.

Eu tenho o meu aqui

É uma boa prática soldar alguns pinos fêmea malévolos nas 3 primeiras pernas para que você ainda possa alterar ou carregar os esboços, se necessário.

Se o seu microcontrolador está se comportando de forma estranha, você pode adicionar um capacitor de 10 a 100 uf entre + e -.

Certifique-se de que, ao fazer o pedido do chip, ele é o ATMEGA328P-PU.

Etapa 6: Nota Final

Gostou desta instrução, clique no botão Favoritos e inscreva-se.

Verifique também meu instrutível "Como consertar clones do Arduino chinês".

Até o próximo Instructable.

Obrigado, Tom Heylen

Facebook:

Doe para me ajudar a continuar fazendo este trabalho:

Recomendado: